Prozessentwicklung für MEMS

Plasmagenerator in der MEMS-Linie des Fraunhofer EMFT
© Fraunhofer EMFT / Bernd Müller
Plasmagenerator in der MEMS-Linie des Fraunhofer EMFT

Diffusionsprozesse

Oxidation Aufwachsen von SiO2 Schichten mit Dicken zwischen 40 nm und 2 μm durch feuchte oder trockene Oxidation, optional mit trans-LC Zusatz, in einem Temperaturbereich zwischen 850 °C und 1100 °C. Prozessierbare Wafergrößen sind 100 mm und 150 mm. Die Prozessierung erfolgt in einem Horizontalofen Tempress Omega.
Diffusion Eintreiben von Dotierstoffen und Aktivierung von Implantationen in inerter oder oxidierender Atmosphäre in einem Temperaturbereich zwischen 650 °C und 1150 °C. Prozessierbare Wafergrößen sind 100 mm und 150 mm. Die Prozessierung erfolgt in einem Horizontalofen Tempress Omega.
Tempern Formiergastempern von Metallen unter Stickstoff- / Wasserstoffatmosphäre zwischen 380 °C und 450 °C. Tempern von Metallen in inerter oder oxidierender Atmosphäre zwischen 380 °C und 650 °C. Prozessierbare Wafergrößen sind 100 mm und 150 mm. Die Prozessierung erfolgt in einem Horizontalofen Tempress Omega. Tempern von Waferstacks nach Silicon-fusion-Bond bei Temperaturen bis zu 1100 °C. Wafergrößen von 100 mm und 150 mm sind prozessierbar. Hierzu steht ein Horizontalofen Tempress Omega zur Verfügung. Trocknen oder Tempern von Substraten bei Temperaturen bis 400 °C unter Luft, Vakuum oder N2-Atmosphäre. Prozessierbare Wafergrößen sind 100 mm, 150 mm und 200 mm. Die Prozessierung erfolgt in einem plattenbeheizten Heraeus Ofen.

Schichtabscheidung

PECVD-Oxid / Nitrid PECVD (Plasma-Enhanced Chemical Vapor Deposition) von Silan-basierten Siliziumdioxid- und Siliziumnitridschichten für Dicken von 50 nm bis 2 μm im Temperaturbereich zwischen 100 °C und 320 °C. Die prozessierbare Wafergröße beträgt 150 mm bzw. 100 mm (mit Transfer Wafer). Die Prozessierung erfolgt in Kammer 1 einer Unaxis Quadra D200R.
PECVD- a-Si / a-SiC PECVD (Plasma-Enhanced Chemical Vapor Deposition) von amorphen Siliziumschichten für Dicken von 100 nm bis 1500 nm bei einer Prozesstemperatur von 320 °C. Abscheidung von 50 nm bis 800 nm dicken Siliziumcarbidschichten im Temperaturbereich von 100 °C bis 320 °C. Die prozessierbare Wafergröße beträgt 150 mm bzw. 100 mm (mit Transfer Wafer). Die Prozessierung erfolgt in Kammer 2 einer Unaxis Quadra D200R.

Metallisierung

Metallabscheidung durch Sputtern – Anlage 1 Vertikalsputteranlage mit zwei Kammern, wobei in der Schleuse eine Substratkonditionierung mittels inversem Sputterätzen möglich ist. In der Beschichtungskammer sind 4 Targets für DC Magnetron Sputtern angebracht. Typische Metalle sind Au, Al, Cr, Cu, Ni, Ti und TiW; weitere Metalltargets sind vorhanden oder können nach Anfrage bestellt und eingefahren werden. Die Anlage ermöglicht die gleichzeitige Beschichtung von bis zu 12 Wafern bei Wafergrößen kleiner oder gleich 150 mm oder bis zu 8 Wafern bei Wafergrößen von 200 mm. Ebenso ist auf Anfrage die Beschichtung von Folienstücken oder Sonderbauteilen möglich. Die Bearbeitung erfolgt in der Anlage Balzers LLS801.
Metallabscheidung durch Sputtern – Anlage 2 Metallisierung mit gängigen Metallen, Metalloxiden und Legierungen (Al, AlCu, Al2O3, SiO2, Cr, NiCr, Ta, Pd, Ag, Ti, WTi und Cu) mit spezifischem Anforderungsprofil. Zudem sind durch reaktive Sputterprozesse Nitrid- oder Oxidschichten herstellbar. Wahlweise können die Substrate im Prozess aktiv geheizt werden. Eine separate Sputterätzkammer kann für Rückätzungen genutzt werden. Bearbeitet werden können Wafer bzw. flache Probenstücke bis zu einem Durchmesser von 200 mm. Die Prozessierung erfolgt an der Sputteranlage CS850S der VON-ARDENNE-Anlagentechnik.
Metallabscheidung durch Aufdampfen Aufdampfen erfolgt mittels thermischen Verdampfens (1 Quelle) oder Elektronenstrahl- Verdampfens (2 Quellen mit jeweils 4 Materialaufnahmen). Die Abscheidung einer Vielzahl von Metallen und Metalloxiden ist möglich. Eine Vorbehandlung der Substratoberfläche durch Glimmen ist möglich. Quarzglasstrahler ermöglichen das Aufheizen der Schichten vor und während der Abscheidung. Die Proben können statisch oder im Rotationsbetrieb (Kalotte) beschichtet werden. Prozessierbar sind Wafer mit Durchmesser kleiner 150 mm (12 Stück); für andere Formate müssen die Träger angepasst werden. Die Bearbeitung erfolgt an der Anlage Balzers BAK 760.
Electroplating (Cu, Sn) Elektrolytische Erzeugung von reinen Kupfer- und Zinnschichten zur Kontaktmetallisierung bzw. für Wafer-zu-Wafer-Bondprozesse. Für die „pattern plating“- Prozesse werden Fotolacke in den Dicken von 5 μm bis 50 μm auf dünnen Cu plating -base Schichten strukturiert. Die prozessierbare Wafergröße beträgt 150 mm bis 200 mm.

Lithographie

Belackung Belackung der Wafer mit unterschiedlichen Lacken mittels einer manuell zu beladenden Schleuder. Standard Lacke sind positiv arbeitende Resiste der Firma Clariant (AZ1514H, AZ4500) für Schichtdicken im Bereich von 1.5 μm bis 8 μm. Prozessierbar sind Wafergrößen bis zu einem Durchmesser von 150 mm, Sondersubstrate nach Anfrage. Bei der Schleuder handelt sich um eine Anlage der Firma Convac.
Trocknung Lacktrocknung mittels einer Hotplate der Firma Convac (maximaler Waferdurchmesser 150 mm) sowie eines Heraeus Umluftofens (für Wafer kleiner oder gleich 200 mm).
Belichtung Unterschiedliche Belichtungsmodi wie proximity, soft, hard und low-vacuum Kontakt. Das backside-alignment-System ermöglicht eine Justage der Maske auf Justagemarken auf der Waferrückseite. Teflonisierte Chucks ermöglichen die defektfreie Bearbeitung beidseitig polierter Wafer. Prozessierbare Wafergrößen sind 100 mm und 150 mm; andere Substratgrößen und –formen auf Anfrage. Die Resist-Belichtung wird an einem Mask-Aligner MA6 der Firma Süss durchgeführt.
Sonderprozesse Prozessierung von Trockenresisten (DuPont, MX5015) (Lamination im Laborbereich), double-layer lift-off Prozess (in Entwicklung).

Trockenätzen

Reaktives Ionenätzen von Dielektrika Reactive Ion Etching (RIE) Prozesse zur Strukturierung von dielektrischen Schichten (Siliziumdioxid, -nitrid, -carbid) in einem Dickenbereich von 20 nm bis 2 μm mittels CHF3-, CF4- und SF6-basierter Prozesse. Die Wafer-Kühlung erfolgt mittels He-Rückseitenkühlung oder mechanischem Waferclamping auf Substrathalter. Die prozessierbare Wafergröße beträgt 150 mm. Die Prozessierung erfolgt in der RIE Kammer der STS Clustertool Anlage.
Hochraten-Siliziumätzen (Boschprozess) Anisotropes Tiefenätzen von Silizium mit Ätzraten von bis zu 3 μm/min („Bosch Prozess“) und einem Aspektverhältnis von bis zu 15:1 (D/W) mittels einer ICP Kammer (Inductively Coupled Reactive Ion Etching). Die prozessierbare Wafergröße beträgt 150 mm. Die Prozessierung erfolgt in der ICP Kammer des STS Clustertools.
Resist Strippen Strippen von Resist Schichten mittels der ICT Kammer (Inductively Coupled Reactive Ion Etching) am STS Clustertool. Die prozessierbare Wafergröße beträgt 150 mm.
Reaktives Ätzen dielektrischer und organischer Schichten Ätzen unterschiedlichster organischer Schichten (Lacke, Dielektrika) und anorganischer Layer (Si, SiO, SiN, SiC) mittels eines manuellen RIE Systems, angeschlossen an die Prozessgase O2, CF4 und CHF3. Durch das manuelle Beladen ist das Bearbeiten aller Substrate und Formate bis zu einem Durchmesser von 150 mm möglich. Die Prozessierung erfolgt an der Anlage PlasmaLab der Firma Oxford Plasma.

Nasschemische Verfahren

Reinigungsprozesse SC1, SC2 und Caro’sche Reinigung sowie SiN Ätzprozesse (Phosphorsäure) in beheizbaren Quarzbecken. HF Dip (1%) und BOE Ätzung von SiO2 Schichten werden in Becken durchgeführt. Resist-Strippen von Positiv-Resisten wird standardmäßig mit AZ Remover 100 durchgeführt (Ultraschall-unterstützt).
Metallätzen Metallätzungen (beispielsweise von Al, Cu, Cr, TiW, Au, Sn) werden in Bechergläsern durchgeführt. Bei Beckenprozessen beträgt die maximale Wafergröße 150 mm; Becherglasprozesse können auch mit 200 mm Wafern durchgeführt werden.
KOH Ätzen KOH-Ätzen im temperierten Quarzglasbehälter, der mit 10 Liter Ätzmedium (33%- ige KOH) befüllt ist. Die Ätztemperatur beträgt 60 °C oder 80 °C. Es können Wafergrößen von 100 mm und 150 mm als Einzelwafer oder in 12er Chargen bearbeitet werden.

In-line Prozessanalytik

Mikroskopie Mikroskopie mit Auflicht, Dunkelfeld und Interferenzkontrast; eine Bilddokumentation über eine Kamera ist möglich. Prozessierbare Wafergrößen sind 100 mm, 150 mm und 200 mm. Zur Verfügung steht ein Mikroskop der Firma Leica,
Reflektometrie Reflektometrie bei Wellenlängen von 450 nm bis 750 nm, die minimale Spotsize beträgt ca. 20 μm. Das Reflektometriemessgerät ist am Leica Mikroskop angeschlossen.
Profilometrie Messung von Stufen von 50 nm bis 300 μm. Es können Probengrößen bis zu einem Durchmesser von 200 mm bearbeitet werden. Die Messung erfolgt am Veeco Dektak.
Waferdicken und Waferdurchbiegungs-Messung Messung der Waferdicke und Waferdurchbiegung mit Hilfe eines berührungslosen kapazitiven Verfahrens. Es können Probengrößen bis zu einem Durchmesser von 150 mm bearbeitet werden. Für die Messung steht ein Gerät der Firma Eichhorn&Haussmann zur Verfügung.
Benetzungswinkel Messung des Benetzungswinkels bei Messung von polarem und dispersivem Anteil. Die Bearbeitung erfolgt im Labor an einem Gerät der Firma DataPhysics.

Sie wollen mehr über die Prozessentwicklung von MEMS in der Praxis erfahren?

Dann nehmen Sie mit uns Kontakt auf!

 

Das könnte Sie auch interessieren:

 

Leistungsangebot: Design und Entwicklung von Mikrodosiersystemen

 

Kompetenz: Heterogene 3D-Integration