Fraunhofer EMFT MEMS-Line

Plasma generator in the Fraunhofer EMFT MEMS-line
© Fraunhofer EMFT / Bernd Müller
Plasma generator in the Fraunhofer EMFT MEMS-line

Diffusion Processes

Oxidation Building up of SiO2 layers 40 nm up to 2 µm thick using wet and dry oxidation, optionally with trans-LC additive, in temperature range between 850 °C and 1100 °C. Wafer sizes of 100 mm and 150 mm can be processed. The processing takes place in Tempress Omega horizontal oven.
Diffusion Eintreiben von Dotierstoffen und Activation of dopands  and annealing of implantations in an inert or oxidizing atmosphere in temperature range between 650 °C and 1150 °C. Wafers sizes of 100 mm and 150 mm can be processed. The processing takes place in Tempress Omega horizontal oven.
Tempering

Forming gas tempering of metals in an atmosphere of nitrogen/hydrogen in temperature range between 380 °C and 450 °C. Tempering of metals in an inert or oxidizing atmosphere in temperature range between 380 °C and 650 °C. 

Wafer sizes of 100 mm and 150 mm can be processed. The processing takes place in Tempress Omega horizontal oven.

Tempering of wafer stacks after silicon fusion bonding at temperatures up to 1100 °C. Wafer sizes of 100 mm and 150 mm can be processed. The processing takes place in Tempress Omega horizontal oven.

Drying or tempering of substrates at temperatures up to 400 °C in air, vacuum or N2-atmosphere. Wafer sizes of 100 mm, 150 mm and 200 mm can be processed. The processing takes place in plate-heated Heraeus oven. 

Layer Deposition

PECVD-oxide / -nitride PECVD (Plasma-Enhanced Chemical Vapor Deposition) of silane-based layers of silicon oxide and silicon nitride for thicknesses from 50 nm to 2 µm in temperature range between 100 °C und 320 °C. Wafer sizes of 100 mm (with a transfer wafer) and 150 mm can be processed. The processing takes place in chamber 1 of Unaxis Quadra D200R.
PECVD- a-Si / a-SiC PECVD (Plasma-Enhanced Chemical Vapor Deposition) of amorphous silicon layers for thicknesses from 100 nm to 1500 nm at processing temperature of 320 °C. Stripping of silicon carbide layers 50 nm to 800 nm thick in temperature range of 100 °C to 320 °C. Wafer sizes of 100 mm (with a transfer wafer) and 150 mm can be processed. The processing takes place in chamber 2 of Unaxis Quadra D200R.

Metallization

Metal deposition by sputtering – equipment 1 Vertical sputtering equipment with two chambers, substrate conditioning by inverse sputter etching is possible in the lock. The coating chamber includes four targets for DC magnetron sputtering. Typical metals include Au, Al, Cr, Cu, Ni, Ti and TiW, additional metal targets are available or can be ordered and installed at request. The equipment allows for simultaneous coating of up to 12 wafers size 150 mm or smaller, or up to 8 wafers size 200 mm. Coating of foils or special components is also possible at request. The processing takes place in Balzers LLS801.
Metal deposition by sputtering – equipment 2 Metallization with common metals, metal oxides and alloys (Al, AlCu, Al2O3, SiO2, Cr, NiCr, Ta, Pd, Ag, Ti, WTi and Cu) with specific requirement profiles. Additionally, layers of nitride or oxide can be produced using reactive sputtering processes. Optionally, the substrates can be actively heated during the process. A separate sputter etching chamber is available for etching. Wafers and flat samples up to the size of 200 mm can be processed. The processing takes place in the sputtering equipment CS850S from VON-ARDENNE.
Metal deposition by vapor deposition Vapor deposition is done by thermic evaporization (1 source) or electron beam evaporization (2 sources with 4 material supplies each). Deposition of several different metals or metal oxides is possible, as well as preprocessing of the substrate surface by glow plasma. Quartz glass blasters enable heating of the layers before and during deposition. Static or rotation coating of the samples is possible. Wafers smaller than 150 mm in diameter can be processed (12 pieces), the carriers have to be adapted for other formats. The processing takes place in Balzers BAK 760.
Electroplating (Cu, Sn) Electrolytic production of layers of pure copper and tin layers for contact metallization e.g. for wafer-to-wafer bonding processes. For the pattern plating processes photo resists 5 µm to 50 µm thick are structured on a thin Cu plating-base. Wafer sizes of 150 mm and 200 mm can be processed.

Lithography

Coating

Coating of the wafers with various coatings using a manually loadable spin-coater. Standard coatings include positive-working resists from Clariant (AZ1514H, AZ4500), for layers from 1.5 µm to 8 µm thick.

Wafers up to the size of 150 mm can be processed, special substrates upon request. The processing takes place in Convac spin-coater.

Drying Drying of the coatings using Convac hotplate for wafers up to 150 mm and Heraeus convection oven for wafers up to 200 mm in size. 
Exposure Various exposure modi such as proximity, soft, hard and low-vacuum contact are possible. The backside-alignment system enables alignment of the mask to the alignment marks on the backside of the wafer. Teflon-coated chucks guarantee defect-free processing of double-sided polished wafers. Wafer sizes of 100 mm and 150 mm can be processed, special substrates upon request. The processing takes place in Süss mask-aligner MA5.
Special processes Processing of dry-resists (DuPont, MX5015) (lamination in laboratory), double-layer lift-off process (under development). 

Dry-Etching

Reactive Ion Etching (RIE) of dielectrics  Reactive Ion Etching (RIE) processes for structuring of dielectric layers (silicon oxide, -nitride, - carbide) for thicknesses of 20 nm to 2 µm using CHF3-, CF4- and SF6-based processes. The wafer cooling is carried out using He-backside cooling or mechanical wafer clamping on substrate holder. Wafer sizes of 150 mm can be processed. The processing takes place in RIE chamber of the STS cluster tool equipment.
High rate silicon etching (Bosch process) Anisotropic deep etching of silicon, etching rate up to 3 µm/min (“Bosch process”) and with aspect ratio up to 15:1 (D/W) using an ICP chamber (Inductively Coupled Reactive Ion Etching). Wafer sizes of 150 mm can be processed. The processing takes place in ICP chamber of STS cluster tool equipment.
Resist stripping Stripping of resist layers using the ICT chamber (Inductively Coupled Reactive Ion Etching) of the STS cluster tool. Wafer sizes of 150 mm can be processed.
Reactive etching of dielectric and organic layers Etching of various organic layers (coatings, dielectrics) and inorganic layers (Si, SiO, SiN, SiC) using a manual RIE system, connected to the process gases O2, CF4 and CHF3 . Due to the manual loading, all substrates and formats up to 150 mm in diameter can be processed. The processing takes place in PlasmaLab from the Oxford Plasma company.

Wet Chemical Processes

Cleaning processes

SC1, SC2 and Caro cleaning as well as SiN etching processes (phosphoric acid) in heatable quartz basins. 

HF Dip (1 %) and BOE etching of SiO2  layers are carried out in basins. The standard for resist-stripping of positive resists is the AZ Remover 100 (supported by ultrasound).

Metal etching Metal etching (for example Al, Cu, Cr, TiW, Au, Sn) is carried out in beakers. For processes in basins wafers up to 150 mm in size can be processed, in beakers also wafers the size of 200 mm can be processed.
KOH etching KOH etching in tempered quartz glass vessels, filled with 10 liter etching material (33 % KOH). The etching temperature is 60 °C or 80 °C. Wafer sizes of 100 mm or 150 mm can be processed, as single wafers or in batches of 12.

In-line Process Analytics

Microscopy Microscopy with incident light, dark field and interference contrast, image documentation via camera is possible. Wafer sizes of 100 mm, 150 mm, or 200 mm can be processed. A Leica microscope is used.
Reflectrometry Reflectometry at wave lengths of 450 nm to 750 nm, minimum spot size approximately 20 µm. The reflectrometric measuring equipment is connected to the Leica microscope.
Profilometry Measurement of steps from 50 nm to 300 µm. Samples up to 200 mm in diameter can be processed. The processing takes place with Veeco Dektak.
Measurement of wafer thickness and deflection Measurement of wafer thickness and deflection using a contactless capacitive method. Samples up to 150 mm in diameter can be processed. The measurement takes place with equipment from the company Eichhorn&Hausmann.
Wetting angle Measurement of the wetting angle by measuring the polar and dispersive components. The processing takes place in the laboratory with equipment from the company DataPhysics.

These technologies in a MEMS-line are available at Fraunhofer EMFT for your application topics. We look forward to hearing from you!

You could also be interested in:

 

Service Offering: Design and Development of Micro Dosing Systems

 

Competence Field: Heterogeneous 3D Integration