CMOS

Lithografie und andere Halbleiterprozesse

Lithografie in der CMOS-Linie des Fraunhofer EMFT
© Fraunhofer EMFT / Bernd Müller
Lithografie in der CMOS-Linie des Fraunhofer EMFT

Diffusionsprozesse

Oxidation Aufwachsen von SiO2 Schichten mit Dicken zwischen 4 nm und 3 μm durch feuchte oder trockene Oxidation, optional mit HCl Zusatz, in einem Temperaturbereich zwischen 650 °C und 1250 °C. Prozessierbare Wafergrößen sind 150 mm und 200 mm. Die Prozessierung erfolgt im Horizontalofen Tempress TS8603, für dünneOxide steht das Tempress AtmoScan System zur Verfügung. 
Diffusion Eintreiben von Dotierstoffen, Aktivierung von Implantationen und Verfließen von BPSG Schichten in inerter oder oxidierender Atmosphäre in einem Temperaturbereich zwischen 650 °C und 1250 °C. Prozessierbare Wafergrößen sind 150 mm und 200 mm. Die Prozessierung erfolgt im Horizontalofen Tempress TS8603.
RTP (Rapid Thermal Processing) Herstellung dünner Oxidschichten, RNO Schichten, Aktivierung von Implantationen sowie Silizidierung. Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt in der Mattson 2900RTP.

Schichtabscheidung

Poly- und amorphes Silizium LPCVD (Low Pressure Chemical Vapor Deposition) Schichtabscheidung von undotiertem Polysilizium bzw. amorphem Silizium für Dicken von 50 nm bis 3 μm im Temperaturbereich zwischen 450 °C und 650 °C. Prozessierbare Wafergrößen sind 150 mm und 200 mm. Die Prozessierung erfolgt im Horizontalofen Tempress.
TEOS LPCVD-Oxid LPCVD (Low Pressure Chemical Vapor Deposition) Schichtabscheidung von Siliziumoxiden für Dicken von 20 nm bis 800 nm im Temperaturbereich zwischen 600 °C und 700 °C. Prozessierbare Wafergrößen sind 150 mm und 200 mm. Die Prozessierung erfolgt im Horizontalofen Tempress TS8603.
LPCVD-Nitrid LPCVD (Low Pressure Chemical Vapor Deposition) Schichtabscheidung von Siliziumnitrid für Dicken von 20 nm bis 250 nm im Temperaturbereich zwischen 700 °C und 800 °C. Prozessierbare Wafergrößen sind 150 mm und 200 mm. Die Prozessierung erfolgt im Horizontalofen Tempress TS8603.
Dotiertes Poly-Silizium In-Situ Phosphor-dotiertes Poly-Silizium für Dicken von 30 nm – 6 μm im Temperaturbereich zwischen 400 °C und 800 °C. Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt in der Altatech Alta-CVD.
Dotierte Oxide Abscheidung von BSG (Bor-Silikatglas), PSG (Phosphor-Silikatglas) und BPSG (Bor-Phosphor Silikatglas) für Dicken von 50 nm bis 2 μm bei einer Abscheidetemperatur zwischen 300 °C und 460 °C. Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt in der Altatech AltaCVD.
PECVD-Oxid PECVD (Plasma-Enhanced Chemical Vapor Deposition) von USG (Undotiertes Silikatglas) für Dicken von 30 nm bis 3 μm im Temperaturbereich zwischen 200 °C und 480 °C. Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt wahlweise in AMAT P5000 CVD-Kammern (TEOS oder SiH4) oder in der Altatech Alta-CVD.
Ozon-TEOS SACVD

SACVD (Sub-Atmospheric Chemical Vapor Deposition) von Siliziumdioxid-schichten für Dicken von 100 nm bis 800 nm im Temperaturbereich zwischen 300 °C und 480 °C. Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt wahlweise in AMAT P5000 CVD-Kammern oder in der Altatech AltaCVD.

PECVD-Nitrid PECVD (Plasma Enhanced Chemical Vapor Deposition) von Nitridschichten für Dicken von 50 nm bis 800 nm im Temperaturbereich zwischen 300 °C und 400 °C. Die Prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt in AMAT P5000 CVD-Kammern.

Metallisierung

Sputtern von AlSi, Ti, TiN Abscheidung AlSi, Ti und TiN im Temperaturbereich von 50 °C bis 400 °C. Die Schichtdicke wird dem Bedarf angepasst. Die Schichten sind beliebig kombinierbar. Es können 200 mm Wafer prozessiert werden. Die Prozessierung erfolgt in der Oerlikon Clusterline 200.
MOCVD für TiN und CVD für Wolfram MOCVD (Metal-Organic Chemical Vapor Deposition) von hochkonformen und insitu-verdichteten TiN-Schichten für Dicken von 8 nm bis 60 nm im Temperaturbereich zwischen 340 °C und 430 °C. Als Precursor wird TDMAT verwendet. CVD (Chemical Vapor Deposition) von hochkonformen Wolfram-Schichten für Schichtdicken von 100 nm bis 800 nm im Temperaturbereich zwischen 380 °C und 430 °C. Als Precursor wird WF6 verwendet. In-situ mit TiN MOCVD kombinierbar, inklusive Rückätzung der Wolfram- und TiN-Schichten (TSV-Metallisierung). Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt in der AMAT P5000 CVD-Mehrkammer-Anlage.

Lithografie

Spin-On-/ Sprühbelackung und Entwicklung i-Line-Resist-Technologie für Lackdicken von 700 nm bis 10 μm. Vor der Belackung können die Wafer mit HMDS behandelt werden, um die Haftung des Lacks auf dem Substrat zu verbessern. Prozessierbare Wafergrößen sind 150 mm und 200 mm. Die Prozessierung erfolgt vollautomatisch an der SÜSS Gamma.
i-Line-Lithografie Belichtung fotosensitiver Schichten bei einer minimalen lateralen Auflösung von 0,35 μm. Die maximale Belichtungsfeldgröße ist 20 mm auf 21 mm. Die prozessierbare Wafergröße beträgt 200 mm. Die Belichtung erfolgt mit dem i-Line-Stepper Canon FPA 3000 i4.
Kontakt- und Proximitylithografie Belichtung i-Line-sensitiver Fotolacke. Die geforderte 1:1-Strukturübertragung einer Schattenmaske kann in gewünschten Abständen (proximity) oder mit Kontakt erzeugt werden. Verwendet werden Chrommasken. Für die Ausrichtung von Maske und Substrat können neben dem herkömmlichen Auflichtmikroskop auch IROptiken benutzt werden. Prozessierbare Wafergrößen sind 150 mm und 200 mm. Die Bearbeitung erfolgt am Kontaktbelichter MA8Gen3 von SÜSS MICROTEC.
Beidseitige Belichtung Justierte Belichtung auf der Rückseite von Substraten bei einer Justagegenauigkeit im Bereich von 2 μm. Prozessierbare Wafergrößen sind 150 mm und 200 mm. Die Bearbeitung erfolgt am Kontaktbelichter MA8Gen3 von SÜSS MICROTEC.
Elektronenstrahllithografie Schreiben von Strukturen kleiner 10 nm. Bereits bestehende GDSII- und CIFDateien können von der Software gelesen und anschließend zur Strukturierung verwendet werden. Die Strukturgrößen können nach dem Entwickeln im Gerät gezielt angefahren und untersucht werden (REM-Möglichkeiten). Prozessierbare Wafergrößen sind 100 mm, 150 mm und 200 mm. Die Bearbeitung erfolgt in der Raith150-TWO.

Trockenchemisches Ätzen

Reaktives Ionenätzen von Dielektrika, Polymeren Magnetically Enhanced Reactive Ion Etching (MERIE) Prozesse zur Strukturierung oder flächigen Ätzung von dielektrischen Schichten (Siliziumdioxid, -nitrid, PSG, BPSG) in einem weiten Dickenbereich von 20 nm bis 6 μm für Strukturgrößen kleiner 100 nm. Automatische Endpunktdetektion (Open Area größer 2%). Wafer-Kühlung mittels elektrostatischem Chuck. Die Ätzraten für Oxide liegen im Bereich 200-400 nm/min. Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt in eMxP+ Kammern von Applied Materials (AMAT Centura). Zusätzlich steht eine P5000 Mark 2 Oxidätzkammer von Applied Materials (AMAT) zur Verfügung.
Reaktives Ionenätzen zur Poly-Silizium-Strukturierung Inductively Coupled Reactive Ion Etching zur Strukturierung oder flächigen Ätzung von dotierten oder undotierten amorphen oder polykristallinen Schichten sowie Siliciden (z.B. Transistor Gate Stacks) im Dickenbereich von 20 nm bis 500 nm fürStrukturgrößen kleiner 100 nm. Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt in einer poly-DPS Kammer von Applied Materials (AMAT Centura).
Reaktives Ionenätzen von leitfähigen Schichten (AlSi, Ti, TiN, W) Möglich sind TiN/AlSi/TiN/Ti Strukturen bis 0,6 μm Strukturbreite bei Metalldicken bis 1,5 μm (strukturgrößenabhängig). Zusätzlich RIE- Wolframätzung, strukturiert und unstrukturiert (W-Etchback). Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt in der P5000 Mark 2 von Applied Materials (AMAT) mit ASP Kammer (Advanced Strip and Passivation).
Hochraten-Siliziumätzen (Boschprozess) Deep Reactive Ion-Etching (DRIE) von Bulk-Silizium mit Boschprozess für Aspektverhältnisse bis 20:1. Ätzung von MEMS-Strukturen mit geringem Aspektverhältnis bei einer Silizium-Ätzrate von 10 μm/min bis 20 μm/min (strukturgrößenabhängig). Ätzung von TSVs (Through Silicon Vias) mit Aspektverhältnissen bis 20:1 bei einer Silizium-Ätzrate von 2 μm/min bis 5 μm/min (strukturgrößenabhängig). Außerdem besteht die Möglichkeit zur Siliziumätzung mit Single-Step-Prozess. Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt in der SPTS Pegasus.

Nasschemische Prozesse

Reinigung von Wafern Reinigung von Wafern mittels Beckenprozessen und Spray Acid Tool Anlage SAT 508 IT von Semitool. Organische und anorganische Verunreinigungen können effektiv entfernt werden (SC1, SC2, Caro’sche Säure und HF-Dip). Lack- und Polymerreste können durch Aceton, Isopropanol und EKC-265 in Edelstahlbecken mit Ultraschall entfernt werden. Prozessierbare Wafergrößen sind 150 mm und 200 mm.
Mechanische Reinigung von Wafern Mechanische Entfernung von Partikeln auf Wafern durch Bürsten mit unterschiedlichen Reinigungsmedien. Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt im Scrubber Ontrac DSS 200.
Isotrope Ätzprozesse Strukturierende nasschemische Ätzung mit Fotolack ist bei folgenden Schichten möglich: Polysilizium, dotierte und undotierte Oxide sowie Aluminium (Alu, AlSi und AlSiCu). Eine unstrukturierte nasschemische Entfernung folgender Schichten ist möglich: Polysilizium, dotierte und undotierte Oxide, Siliziumnitrid, Titannitrid, Titan, Wolfram und Aluminium sowie Aluminiumlegierungen. Prozessierbare Wafergrößen sind 150 mm und 200 mm.
Spinätzprozesse Siliziumätzung und stress-relief-Ätzung mittels Ätzmischung aus Salpetersäure, Phosphorsäure und Flusssäure, sowie Siliziumoxidätzung mittels Flusssäure. Beide Prozesse können sowohl auf der Vorderseite als auch auf der Rückseite durchgeführt werden. Prozessierbare Wafergrößen sind 150 mm und 200 mm. Die Prozessierung erfolgt im Spin Prozessor SEZ 203.

Ionenimplantation

Ionenimplantation Implantation von Argon, H2, Arsen, Bor, Fluor, BF2 und Phosphor bei einem Dosisbereich von 1 x 1011 cm² bis 1 x 1016 cm². Der Energiebereich liegt bei 5 keV bis 250 keV für einfach geladene Ionen, darüber hinaus ist die Implantation von bis zu 3-fach geladenen Ionen mit Energien bis zu 750 keV möglich. Ferner können Implantationswinkel von 0° bis 45° sowie Rotationen von 0° bis 360° eingestellt werden. Prozessierbare Wafergrößen sind 100 mm, 150 mm und 200 mm. Alle Implantationen erfolgen am VARIAN E500 Mittelstrom-Implanter.

Epitaxie

Epitaxie von Si- und SiGe- Schichten Epitaxie von Silizium- und Silizium-Germanium-Schichten im RP-CVD Reaktor (6 Torr bis Atm). Eine geringe Dotierung mit Bor und Phosphor ist möglich, ebenso die Abscheidung von hoch-intrinsischem Silizium (3 000 Ohm cm). Die prozessierbare Wafergröße beträgt 200 mm. Für die Abscheidung epitaktischer Schichten steht die ASM Epsilon 2000 zur Verfügung.
Niedertemperatur Epitaxie Epitaktische Abscheidung von Silizium und Silizium-Germanium. Die Abscheidung der Schichten und das Reinigen der Oberflächen erfolgen bei Temperaturen ab 450 °C. Die prozessierbare Wafergröße beträgt 200 mm. Die Prozessierung erfolgt an einer Neuentwicklung der Firma Muegge.

Chemisch Mechanisches Polieren (CMP)

Chemisch Mechanisches Polieren (CMP) Polieren von Oxid-, Silizium- und Polysiliziumschichten in der IPEC AVANTI 472. Bearbeitung von Kupfer in der IPEC-Westech 372M. Prozessierbare Wafergrößen sind150 und 200 mm.

Mechanisches Schleifen (Grinden)

Mechanisches Schleifen (Grinden) Rückschleifen von Siliziumwafern bzw. Waferstacks der Waferdicken von 100 μm bis maximal 2000 μm. Spezielle Trägertechniken ermöglichen auch das Schleifen von Wafern mit Topographie, das Herstellen von sehr dünnen MEMS Waferstacks, das Rückseiten-Aufschleifen von Kavitäten oder das Dünnen auf Waferdicken bis herab zu 30 μm. Prozessierbare Wafergrößen sind 150 mm und 200 mm. Die Bearbeitung erfolgt in den Anlagen DFG850 und DFG 8540 der Firma Disco. 

In-line Prozessanalytik

Rasterkraftmikroskop Messung von Oberflächenrauhigkeit und Stufenhöhen bis maximal 5,5 μm. Geeignet für Probengrößen bis 200 mm. Die Bearbeitung erfolgt am Rasterkraftmikroskop (AFM) D(imension)5000 von Digital Instruments.
In-line REM und Focused Ion Beam In-line REM (Schottky Emitter) und Focused Ion Beam (Ga-FIB) mit EDX-Analysen und Gas Injection System (GIS). Prozessierbare Wafergrößen sind 100 mm, 150 mm und 200 mm. Die Bearbeitung erfolgt im FEI Helios Nanolab 650.
Schichtdickenmessung Ellipsometrische Dickenbestimmung von dünnen und durchsichtigen Materialien. Prozessierbare Wafergrößen sind 150 mm und 200 mm. Die Messung erfolgt im KLA Tencor UV1280. Messung der Schichtdicken von Silizium kleiner 100 μm und infrarot durchlässigen Schichten mittels Spektrometrie. Prozessierbare Probengrößen sind 150 mm und 200 mm. Die Bearbeitung erfolgt im OMT.
Röntgen-Diffraktometrie (XRD) Röntgen-Diffraktometrie (XRD) inclusive Reflektometrie, spezialisiert für die Messung von Silizium-Germanium-Gehalt und der Relaxation. Prozessierbare Wafergrößen bis zu 200 mm. Die Bearbeitung erfolgt im Philips XPert PRO, Typ MRD XL.
Dickenmessung von Wafern (kontaktlos, kapazitiv) Dickenmessung von Si-Wafern oder Waferstapeln. Der Messbereich liegt zwischen 400 μm und 1400 μm. Ebenso ist die Bestimmung von Waferbow und Schichtstress möglich. Es können Wafergrößen von 150 mm und 200 mm bearbeitet werden. Die Messung erfolgt am Eichhorn und Hausmann MX 203-8.

Sie wollen mehr über CMOS in der Praxis erfahren?

Dann nehmen Sie mit uns Kontakt auf!

 

Das könnte Sie auch interessieren:

 

Kompetenz: Mikro- und Nanotechnologien

 

Forschungsfeld: Mikroelektronik für Quantentechnologien

 

Verfügbare Halbleiterbauelemente